Home :: Academic Members :: News

view:41867   Last Update: 2023-12-17

Ali Azarpeyvand

Publications in Journals

  علمی-پژوهشی
ISI-JCR
16.  سعید شریفیان مقیمی مقدموحید رشتچیعلی آذرپیوند
Parallel Chaos-based image encryption algorithm: High-Level Synthesis and FPGA implementation
پیاده سازی الگوریتم رمزنگاری موازی بر پایه آشوب بر روی FPGA با ابزار سنتز سطح بالا
JOURNAL OF SUPERCOMPUTING Issue oi.org/10.1007/s11227-023-0578 (2024-01-10PP. 1-29 
  علمی-پژوهشی
ISI-JCR
15.  بابک رخعلی آذرپیوندخانتیموری علیرضا
A Comprehensive Survey on Model Quantization for Deep Neural Networks in Image Classification
بررسی جامع کوانتیزاسیون مدل های شبکه های عصبی عمیقِ استفاده شده در طبقه بندی تصویر
ACM Transactions on Intelligent Systems and Technology Issue https://doi.org/10.1145/362340 (2023-09-11PP. 1-51 
  علمی-پژوهشی
ISI-JCR
14.  شیما پویانمصطفی چرمیعلی آذرپیوندحسین حسن پور
Propounding First Artificial Intelligence Approach for Predicting Robbery Behavior Potential in an Indoor Security Camera
پیشنهاد اولین رویه هوش مصنوعی برای پیش بینی پتانسیل رفتار سرقت در یک دوربین امنیتی داخل ساختمان
IEEE Access شماره 11 (1402/03/19صفحات 60471-60489 
  علمی-پژوهشی
ISI-JCR
13.  سمانه رضاییرضا امیدیعلی آذرپیوند
Logarithm-approximate floating-point multiplier
ضرب کننده ممیز شناور تقریبی-لگاریتمی
MICROELECTRONICS JOURNAL Issue 127 (2022-09-15PP. 1-9 
  علمی-پژوهشی
لیست وزارتین نمایه شده در ISC
12.  سکینه صیدیعلی آذرپیوند
Design of approximate adder and multiplier for image processing applications
طراحی جمع کننده و ضرب کننده تقریبی مناسب برای کاربردهای پردازش تصویر
مهندسی برق و الکترونیک ایران - انجمن مهندسین برق--Journal of iranian association of electrical and electronics engineers شماره 18 (1400/04/01صفحات 31-36 
  علمی-پژوهشی
ISI-JCR
11.  امید عباس زادهعلی آذرپیوندعلیرضا خان تیموریعباسعلی بهاری
Data-driven and Knowledge-based Algorithms for Gene Network Reconstruction on High-dimensional Data
الگوریتم مبتنی بر دانش و داده محور برای استنتاج شبکه‌های ژنی بر روی داده‌های ابعاد بالا
IEEE-ACM Transactions on Computational Biology and Bioinformatics Issue https://doi.org/10.1109/TCBB.2 (2020-11-29PP. 1-13 
  علمی-پژوهشی
ISI-JCR
10.  محسن نورآذروحید رشتچیعلی آذرپیوندفرشاد مریخ بیات
Code Acceleration Using Memristor-Based Approximate Matrix Multiplier: Application to Convolutional Neural Networks
شتاب دهنده مبتنی بر ممریستور برای ضرب ماتریس و کاربرد شبکه های عصبی کانولوشنی
IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS Issue 26 (2018-12-30PP. 2684-2695 
  علمی-پژوهشی
ISI-JCR
9.  محسن نورآذروحید رشتچیفرشاد مریخ بیاتعلی آذرپیوند
Towards memristor-based approximate accelerator: application to complex-valued FIR filter bank
شتاب دهنده بر پایه ممریستور در محاسبات تقریبی برای کاربرد فیلترهای FIR
ANALOG INTEGRATED CIRCUITS AND SIGNAL PROCESSING Issue 96 (2018-09-15PP.  577-588 
  علمی-پژوهشی
ISI-JCR
8.  امید عباس زادهعلیرضا خان تیموریعلی آذرپیوند
Parallel Algorithms for Inferring Gene Regulatory Networks: A Review
مروری بر الگوریتم های موازی برای استنتاج شبکه های تنظیم ژنی
CURRENT GENOMICS Issue 19 (2018-05-22PP. 603-614 
  علمی-پژوهشی
ISI-JCR
7.  مصطفی صالحیعلی آذرپیوندآرمین حاج ابوطالبی
Vulnerability Analysis of Adder Architectures Considering Design and Synthesis Constraints
ارزیابی آسیب‌پذیری معماری‌های مختلف جمع کننده‌ با توجه به قیدهای طراحی و سنتز
JOURNAL OF ELECTRONIC TESTING-THEORY AND APPLICATIONS Issue 34 (2018-03-01PP. 7-14 
  علمی-پژوهشی
ISI-JCR
6.  محسن نورآذروحید رشتچیعلی آذرپیوندفرشاد مریخ بیات
Memristor-based approximate matrix multiplier
ضرب کننده ماتریسی تقریبی بر پایه ممریستور
ANALOG INTEGRATED CIRCUITS AND SIGNAL PROCESSING Issue 93 (2017-11-01PP. 363-373 
  علمی-پژوهشی
ISI-JCR
5.  علی آذرپیوندارسالی صالحی نسب مصطفیفخرایی سیدمهدیسعید صفری
Fast and accurate architectural vulnerability analysis for embedded processors using Instruction Vulnerability Factor
یک روش سریع و دقیق برای تحلیل ضریب آسیب پذیری معماری برای پردازنده های نهفته با استفاده از ضریب آسیب پذیری دستورالعمل
MICROPROCESSORS AND MICROSYSTEMS Issue 42 (2016-05-01PP. 113-126 
  علمی-پژوهشی
ISI-JCR
4.  پویان فاطمهعلی آذرپیوندسعید صفریفخرایی سیدمهدی
Reliability aware throughput management of chip multi-processor architecture via thread migration
مدیریت آگاه از قابلیت اطمینان توان عملیاتی پردازنده با معماری چند پردازنده با استفاده از مهاجرت ریسه‌ها
JOURNAL OF SUPERCOMPUTING Issue 72 (2016-04-01PP. 1363-1380 
  علمی-پژوهشی
ISI-JCR
3.  پویان فاطمهعلی آذرپیوندصفری سعیدفخرایی سیدمهدی
Reliability-aware simultaneous multithreaded architecture using online architectural vulnerability factor estimation
معماری چند ریسه ای همزمانِ آگاه از قابلیت اطمینان با استفاده از برآورد برخط ضریب آسیب پذیری معماری
IET Computers and Digital Techniques Issue 9 (2015-03-01PP. 124-133 
  علمی-پژوهشی
ISI-JCR
2.  علی آذرپیوندارسالی صالحی نسب مصطفیفخرایی سیدمهدی
An analytical method for reliability aware instruction set extension
یک روش تحلیلیِ آگاه ازقابلیت اطمینان برای توسعه مجموعه دستورالعمل‌ها
JOURNAL OF SUPERCOMPUTING Issue 67 (2014-01-01PP. 104-130 
  علمی-پژوهشی
ISI-JCR
1.  فیروزی فرشادعلی آذرپیوندارسالی صالحی نسب مصطفیفخرایی سیدمهدی
Adaptive fault-tolerant DVFS with dynamic online AVF prediction
یک روش DVFS تحمل پذیر خرابیِ تطبیقی مبتنی بر پیش بینی برخط قابلیت اطمینان
MICROELECTRONICS RELIABILITY Issue 52 (2012-06-01PP. 1197-1208 


Presentations in Seminars & Conferences


ملی معتبر 10. سعید شریفیان مقیمی مقدموحید رشتچیعلی آذرپیوند
پیاده سازی سخت افزاری کدینگ تصویر با استفاده ا ز سنتز سطح بالا
Hardware Implementation of a Chaos Based Image Encryption Using High-Level Synthesis
Iranian conference on electrical engineering(ICEE) انجمن مهندسی برق ایران, تهران, 2021-05-18 - 2021-05-23
بین‌المللی 9. شیما پویانمصطفی چرمیعلی آذرپیوندحسین حسن پور
بهبود عمده آشکارسازی انسان در تصاویر با تفکیک پذیری پایین با بازآموزش یولوی نسخه سوم
Significantly improving human detection in low-resolution images by retraining YOLOv3
International Computer Conference, Computer Society of Iran انجمن کامپیوتر ایران, تهران, 2021-03-03 - 2021-03-04
بین‌المللی 8. سمیرا نظریمریم حسنیعلی آذرپیوند
یک الگوریتم چند هدفی بسیار سریع برای برای پردازنده VLIW
An ultra-fast multi-objective optimization algorithm for VLIW architecture
IEEE EAST-WEST DESIGN & TEST SYMPOSIUM (EWDTS) موسسه east-west design & test, Armenia, 2016-10-14 - 2016-10-17
بین‌المللی 7. فراهانی بهارهعلی آذرپیوندسعید صفریفخرایی سیدمهدی
غربالگریِ آگاه از قابلیت اطمینان دستورالعمل‌های اختصاصی در لایه‌های مختلف
Reliability-aware Cross-Layer Custom Instruction Screening
The IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems  Brno University of Technology, Faculty of Information Technology, Czech Republic, 2013-04-08 - 2013-04-10
بین‌المللی 6. علی آذرپیوندارسالی صالحی نسب مصطفیفخرایی سیدمهدیسایر سایر
ارزیابی قابلیت اطمینان برای دستورالعمل‌های اختصاصی
Vulnerability Analysis For Custom Instructions
Euromicro Conference on Digital System Design Middle East Technical University (METU), Turkey, 2012-09-05 - 2012-09-08
بین‌المللی 5. علی آذرپیوندارسالی صالحی نسب مصطفیفخرایی سیدمهدی
CIVA چارچوبی برای ارزیابی قابلیت اطمینان دستورالعمل‌های اختصاصی
CIVA: Custom Instruction Vulnerability Analysis Framework
The IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems Tallinn University of Technology, Estonia, 2012-04-18 - 2012-04-20
بین‌المللی 4. علی آذرپیوندارسالی صالحی نسب مصطفیفیروزی فرشادیزدان‌بخش امیرفخرایی سیدمهدی
تحلیل قابلیت اطمینان برای دستورالعمل‌های پردازنده‌های نهفته
Instruction reliability analysis for embedded processors
The IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems Vienna University of Technology, Austria, 2010-04-14 - 2010-04-16
بین‌المللی 3. رحیمی عباسارسالی صالحی نسب مصطفیمحمدی سیامکفخرایی سیدمهدیعلی آذرپیوند
مصالحه بین انرژی و کارآیی در یک NOC کاملا آسنکرون ویژه سیستم چند پردازنده‌ی مبتنی بر GAL
Energy/throughput trade-off in a fully asynchronous NoC for GALS-based MPSoC architectures
International Conference on Design & Technology of Integrated Systems in Nanoscale Era National Engineering School of Sfax, Tunisia, 2010-03-23 - 2010-03-25
بین‌المللی 2. فیروزی فرشادارسالی صالحی نسب مصطفیعلی آذرپیوندفخرایی سیدمهدیWang F
ملاحظات قابلیت اطمینان در روش‌های مقیاس پویای ولتاژ و توان
Reliability considerations in dynamic voltage and frequency scaling schemes
International Conference on Design & Technology of Integrated Systems in Nanoscale Era National Engineering School of Sfax, Tunisia, 2010-03-23 - 2010-03-25
بین‌المللی 1. فیروزی فرشادارسالی صالحی نسب مصطفیعلی آذرپیوندفخرایی سیدمهدیسعید صفری
بررس تاثیر تک رخداد واژگونی در پردازنده‌های نهفته به منظور استفاده در روش‌های تحمل خرابی غیر یکنواخت
Analysis of single-event effects in embedded processors for non-uniform fault tolerant design
International Conference on Innovations in Information Technology United Arab Emirates University, United Arab Emirates, 2009-12-15 - 2009-12-17

 

 

Copyright © 2024, University of Zanjan, Zanjan, Iran
master[at]znu.ac.ir