Home :: Academic Members :: News

view:42140   Last Update: 2023-12-17

Ali Azarpeyvand

Bahareh J. Farahani, Ali Azarpeyvand, Saeed Safari, Seid Mehdi Fakhraie
Reliability-aware cross-layer custom instruction screening
غربالگری آگاه از قابلیت اطمینان دستورالعمل‌های اختصاصی با در نظر گرفتن سطوح مختلف
Abstract


Bias Temperature Instability (BTI) and process variation introduce remarkable unpredictability to Custom Instructions (CIs) manufactured at nano-scale technology. Moreover, shrinking the feature size to nanometer levels makes soft error another critical issue of CIs. To tackle these factors, we propose a reliability-aware cross-layer CI screening method. By adding an intermediate phase between the CI generation and CI selection phases, this method enables designers to prune the outputs of the generation phase in order to guarantee that synthesized CIs meet the required reliability constraints. For this purpose, a holistic framework is developed to analyze the combined effects of the BTI and process variation as well as the soft error on the CIs by making a link between circuit-level and system-level information. Based on this information collected from different layers of abstraction, the screening method prunes those CIs which cannot meet the reliability constraints. Experiments illustrate that BTI-unaware CI selection techniques may not meet the desired lifetime because of BTI-induced delay shift of CIs. Moreover, according to the results, a remarkable percentage of CIs is vulnerable to soft error and should not be fed into CI selection phase.

 

 

Copyright © 2024, University of Zanjan, Zanjan, Iran
master[at]znu.ac.ir